Dec 24, 2019

Investigation of stress at SiO2/4H-SiC interface induced by thermal oxidation by confocal Raman microscopy

Stress at an SiO2/4H-SiC interface was investigated by using confocal Raman microscopy. Measurements were gathered while sequentially etching the SiO2 film on 4H-SiC. A shift of the folded transverse optical E2 mode peak toward low wavenumbers was observed in a 50 nm thick SiO2/4H-SiC, and this shift remained constant for samples with an SiO2 thickness greater than 10 nm. This implies that stress was generated at the SiO2/4H-SiC interface and accumulated in the SiO2region during the thermal oxidation process. We investigated the influence of annealing in NO on stress generation, while the calculated stresses suggested similar values. Thus, we conclude the NO post-annealing has no drastic effect on stress relaxation at an SiO2/4H-SiC interface.

Source:IOPscience
For more information, please visit our website: www.semiconductorwafers.net,
send us email at sales@powerwaywafer.com and powerwaymaterial@gmail.com

Dec 17, 2019

Defect formation in 4H-SiC single crystal grown on the prismatic seeds

The defect structure of 4H silicon carbide single crystals grown by PVT method on three prismatic seeds (10-10), (11-20) and (8.3.-11.0) is considered. The only defects existing in the grown ingots are stacking faults and basal plane dislocations. The type of stacking fault is studied. The dependence of stacking fault morphology on the seed orientation is analyzed.

Source:IOPscience
For more information, please visit our website: www.semiconductorwafers.net,
send us email at sales@powerwaywafer.com and powerwaymaterial@gmail.com

Dec 11, 2019

Growth and characterization of 3C–SiC and 2H–AlN/GaN films and devices produced on step-free 4H–SiC mesa substrates

While previously published experimental results have shown that the step-free (0 0 0 1) 4H–SiC mesa growth surface uniquely enables radical improvement of 3C–SiC and 2H–AlN/GaN heteroepitaxial film quality (>100-fold reduction in extended defect densities), important aspects of the step-free mesa heterofilm growth processes and resulting electronic device benefits remain to be more fully elucidated. This paper reviews and updates recent ongoing studies of 3C–SiC and 2H–AlN/GaN heteroepilayers grown on top of 4H–SiC mesas. For both 3C–SiC and AlN/GaN films nucleated on 4H–SiC mesas rendered completely free of atomic-scale surface steps, TEM studies reveal that relaxation of heterofilm strain arising from in-plane film/substrate lattice constant mismatch occurs in a remarkably benign manner that avoids formation of threading dislocations in the heteroepilayer. In particular, relaxation appears to occur via nucleation and inward lateral glide of near-interfacial dislocation half-loops from the mesa sidewalls. Preliminary studies of homojunction diodes implemented in 3C-SiC and AlN/GaN heterolayers demonstrate improved electrical performance compared with much more defective heterofilms grown on neighbouring stepped 4H–SiC mesas. Recombination-enhanced dislocation motion known to degrade forward-biased 4H–SiC bipolar diodes has been completely absent from our initial studies of 3C–SiC diodes, including diodes implemented on defective 3C–SiC heterolayers grown on stepped 4H–SiC mesas.

Source:IOPscience
For more information, please visit our website: www.semiconductorwafers.net,
send us email at sales@powerwaywafer.com and powerwaymaterial@gmail.com

Dec 4, 2019

Photoelectric properties of p-β-FeSi2/n-4H-SiC heterojunction near-infrared photodiode

We give the first report on the experimental investigation of a p-β-FeSi2/n-4H-SiC heterojunction. A p-β-FeSi2/n-4H-SiC heterojunction near-infrared photodiode was fabricated on 4H-SiC substrate by magnetron sputtering and rapid thermal annealing (RTA). Sharp film—substrate interfaces were confirmed by scanning electron microscopy (SEM). The current density—voltage and photoresponse characteristics were measured. The measurements showed that the device exhibited good rectifying properties. The photocurrent density was about 1.82 mA/cm2 at a bias voltage of −1 V under illumination by a 5 mW, 1.31 μm laser, and the dark current density was approximately 0.537 mA/cm2. The detectivity was estimated to be 8.8 × 109 cmHz1/2/W at 1.31 μm. All of the measurements were made at room temperature. The results suggest that the p-β-FeSi2/n-4H-SiC heterojunctions can be used as near-infrared photodiodes that are applicable to optically-activated SiC-based devices.

Source:IOPscience
For more information, please visit our website: www.semiconductorwafers.net,
send us email at sales@powerwaywafer.com and powerwaymaterial@gmail.com

Nov 27, 2019

GaN epitaxial growth on 4 degree off-axis Si- and C-face 4H-SiC without buffer layers by tri-halide vapor-phase epitaxy with high-speed wafer rotation

The results of GaN epitaxial crystal growth on 4° off-axis Si- and C-face 4H-SiC without buffer layers by tri-halide vapor-phase epitaxy (THVPE) with high-speed wafer rotation and the properties of the obtained material are briefly described in this paper. GaN epitaxial layers were grown on 4° off-axis 4H-SiC(0001)Si and 4H-SiC(000-1)C substrates. The obtained material's properties were studied by Nomarski optical microscopy, scanning electron microscopy, photoluminescence, surface two-photon excitation microscopy, X-ray diffraction and Raman spectroscopy. The structural and optical properties of the GaN epitaxial layer are presented and discussed. By adopting an external GaCl3 material supply system, high-speed rotation was applicable, and its effect was confirmed. The results show that when THVPE was used under growth pressure of 600 mbar at 900 °C–950 °C, the surface reaction rate was sufficiently high, and the GaN epitaxial layer was grown under conditions of controlled raw-material supply rates. High growth rates (40–50 μm h−1) and relatively low threading dislocations (~7 × 107 cm−2) were achieved on 4° off 4H-SiC(000-1)C despite the large lattice mismatch (3.1%) between SiC and GaN and without any buffer layers by introducing step flow growth and growth on a high-quality 4H-SiC substrate. We found that epitaxial layers with a smooth surface morphology can be grown on 4H-SiC(000-1)C compared with growth on 4H-SiC(0001)Si.

Source:IOPscience
For more information, please visit our website: www.semiconductorwafers.net,
send us email at sales@powerwaywafer.com and powerwaymaterial@gmail.com

Nov 19, 2019

Electronic structures and magnetic properties of (Al, Cr) co-doped 4H-SiC: a first-principles study

Electronic and magnetic properties of Cr-doped 4H silicon carbide (4H-SiC) with Al and silicon vacancies (V Si) are studied by first principles calculations. Our results indicate that the (Cr, V Si)-codoped 4H-SiC favours ferromagnetic (FM) ground state, which is enhanced by the nearest-neighboring V Si while is weakened at other positions. For (Cr, Al)-codoped 4H-SiC system, all configurations are ferromagnetic ground state and the stability is greatly enhanced. It is found that indirect ferromagnetic coupling between Cr cations through the hybridization between Cr:3d and Si:3p, C:2p orbitals leads to a Cr1:3d-C:2p-Cr2:3d coupling chain. We also investigated silicon vacancy induced in (Cr, Al)-codoped 4H-SiC system, the results indicate that all configurations are antiferromagnetic states. Our research gives an effective method to investigate the ferromagnetism materials' doping effect, which benefits for the design of 4H-SiC dilute magnetic semiconductors in magnetism materials.

Source:IOPscience
For more information, please visit our website: www.semiconductorwafers.net,
send us email at sales@powerwaywafer.com and powerwaymaterial@gmail.com

Nov 11, 2019

Evaluation of nitrogen incorporation into bulk 4H-SiC grown on seeds of different orientation from optical absorption spectra

The effectiveness of n-type nitrogen doping of bulk 4H-SiC grown on seeds of different orientation is studied by optical absorption measurements. The 4H-SiC ingots have been grown by physical vapour transport (PVT), with nitrogen doping from the SiC source. The nitrogen concentration was determined at room temperature from the absorption peak intensity at 464 nm, with account for the degree of donor ionization. It has been shown that 4H-SiC ingots grown on Si (11-22) faces are significantly less doped by nitrogen than the ones grown on C (11-2-2).

Source:IOPscience
For more information, please visit our website: www.semiconductorwafers.net,
send us email at sales@powerwaywafer.com and powerwaymaterial@gmail.com

Nov 6, 2019

Ti/4H-SiC Schottky diode breakdown voltage with different thickness of 4H-SiC epitaxial layer

Breakdown voltage for Ti/4H-SiC type Schottky diode with six guard rings have been calculated theoretically and by mean of numerical simulations. It is shown that the breakdown voltage can be increase at the minimum on 100 V in case when thickness of the n-type 4H-SiC epitaxial layer increase from 18 up to 22 μm. It is established that the breakdown voltage value for Ti/4H-SiC type Schottky diode with guard rings calculated by mean simulation in ATLAS program and theoretically have good approximation. Thus, above approach gives the possibility for projection of diode structure with different 4H-SiC epitaxial layer thickness with higher breakdown voltage value.

Source:IOPscience
For more information, please visit our website: www.semiconductorwafers.net,
send us email at sales@powerwaywafer.com and powerwaymaterial@gmail.com

Oct 28, 2019

Ultra high voltage MOS controlled 4H-SiC power switching devices

Ultra high voltage (UHV, >15 kV) 4H-silicon carbide (SiC) power devices have the potential to significantly improve the system performance, reliability, and cost of energy conversion systems by providing reduced part count, simplified circuit topology, and reduced switching losses. In this paper, we compare the two MOS based UHV 4H-SiC power switching devices; 15 kV 4H-SiC MOSFETs and 15 kV 4H-SiC n-IGBTs. The 15 kV 4H-SiC MOSFET shows a specific on-resistance of 204 mΩ cm2 at 25 °C, which increased to 570 mΩ cm2 at 150 °C. The 15 kV 4H-SiC MOSFET provides low, temperature-independent, switching losses which makes the device more attractive for applications that require higher switching frequencies. The 15 kV 4H-SiC n-IGBT shows a significantly lower forward voltage drop (VF), along with reasonable switching performance, which make it a very attractive device for high voltage applications with lower switching frequency requirements. An electrothermal analysis showed that the 15 kV 4H-SiC n-IGBT outperforms the 15 kV 4H-SiC MOSFET for applications with switching frequencies of less than 5 kHz. It was also shown that the use of a carrier storage layer (CSL) can significantly improve the conduction performance of the 15 kV 4H-SiC n-IGBTs.


Source:IOPscience
For more information, please visit our website: www.semiconductorwafers.net,
send us email at sales@powerwaywafer.com and powerwaymaterial@gmail.com

Aug 27, 2019

Electrical and Control Engineering, International Conference on


In this paper, with the purpose of improvement of power devices properties, the floating-junction technology was used in the 4H-SiC Schottky barrier diodes (SBD) and some parameters were optimized by software simulation. And compared with the conventional power SBDs, the electrical properties of floating-junction Schottky barrier diodes, especially the reverse blocking properties were enhanced. The breakdown voltage and specific on-resistance could reach 4.5KV and 6.15 mΩ.cm2 respectively, while in company with the increasing of Baliga figure of material (BFOM). Then we also investigated the devices switching process and temperature characteristics under definite conditions.

Source:IOPscience
For more information, please visit our website: www.semiconductorwafers.net,
send us email at sales@powerwaywafer.com and powerwaymaterial@gmail.com

Aug 21, 2019

Surface preparation for Schottky metal - 4H-SiC contacts formed on plasma-etched SiC

Silicon-carbide-based devices frequently require a Schottky gate to be deposited on a plasma-etched surface. This paper considers the effectiveness of nine different pre-metallization surface preparation procedures in removing the etch damage. The surfaces were assessed by x-ray photoelectron spectroscopy and by current-voltage measurement of nickel Schottky diodes formed on both reactive-ion-etched and non-reactive-ion-etched silicon face 4H-SiC. The treatments included simple UV-ozone and solvent cleans, oxygen plasma, deposited oxide and thermal oxidation. It was confirmed that the only process which removed all traces of surface contamination and etch damage, producing ideal Schottky diodes, was sacrificial oxidation.





Source:IOPscience
For more information, please visit our website: www.semiconductorwafers.net,
send us email at sales@powerwaywafer.com and powerwaymaterial@gmail.com

Aug 16, 2019

Improved ohmic contact to the n-type 4H-SiC semiconductor using cobalt silicides

Multilayer structures of cobalt and silicon have been deposited as an ohmic contact on n-type 4H-SiC substrates in order to obtain lower contact resistance and higher thermal stability. The metal structures were prepared by using electron beam evaporation on top of the silicon face of the 4H-SiC substrates, and were annealed in an atmosphere of argon with 10% hydrogen. The metal film thickness was monitored during the film deposition, and the ratio of the cobalt and silicon was fixed at 0.5 for the formation of the silicon-rich silicide structure (CoSi2). The electrical property of the ohmic contact has been significantly improved by the reduction of the oxide content in the metal contact layer. A two-step annealing process was employed to reduce oxidation problems that may occur in the heat treatment at high temperatures. The specific contact resistance of the contact structure prepared by the two-step annealing process was measured to decrease by more than one order of magnitude compared to that prepared by one-step annealing. The best result has been obtained as 1.8 × 10−6 Ω cm2 for Co/Si/Co/Si/Co metal structures after two-step annealing, at 500 °C for 600 s and 800 °C for 120 s. In the field emission scanning electron microscopy, the interface of the contact structure and SiC substrate was observed to have smooth surface morphology with CoSi2 grains.


Source:IOPscience
For more information, please visit our website: www.semiconductorwafers.net,
send us email at sales@powerwaywafer.com and powerwaymaterial@gmail.com

Aug 9, 2019

Defect characterization of 4H-SiC wafers for power electronic device applications

Silicon carbide is a semiconductor of choice for the fabrication of high-power, high-temperature and high-frequency electronic devices.

Nevertheless, such a material still presents many problems as regards the crystallographic quality and the presence of defects, which influence the device performance.

We have investigated 4H-SiC wafers and 4H-SiC epitaxial layers by microscopy and structural techniques in order to obtain information about the defect morphology. The goal of this analysis will be to correlate them with the electrical properties of SiC for power electronic device applications.




Source:IOPscience
For more information, please visit our website: www.semiconductorwafers.net,
send us email at sales@powerwaywafer.com and powerwaymaterial@gmail.com

Aug 1, 2019

Experimental investigation of slow-positron emission from 4H-SiC and 6H-SiC surfaces

Slow-positron emission from the surfaces of as-grown n-type 4H-SiC and 6H-SiC (silicon carbide) with a conversion efficiency of ~ 10−4 has been observed. After 30 min of 1000 oC annealing in forming gas, the conversion efficiency of the n-type 6H-SiC sample was observed to be enhanced by 75% to 1.9 × 10−4, but it then dropped to ~ 10−5 upon a further 30 min annealing at 1400 oC. The positron work function of the n-type 6H-SiC was found to increase by 29% upon 1000 oC annealing. For both p-type 4H-SiC and p-type 6H-SiC materials, the conversion efficiency was of the order of ~ 10−5, some ten times lower than that for the n-type materials. This was attributed to the band bending at the p-type material surface which caused positrons to drift away from the positron emitting surface.


Source:IOPscience
For more information, please visit our website: www.semiconductorwafers.net,
send us email at sales@powerwaywafer.com and powerwaymaterial@gmail.com


Jul 23, 2019

Development of a microwave photoconductance measurement technique for the study of carrier dynamics in highly-excited 4H-SiC

The microwave conductance decay (MCD) technique combining an initially matched transmission line setup and picosecond optical excitation was developed and applied for the monitoring of transmitted and reflected microwave power transients in a 4H-SiC epilayer in a wide excitation range, from 2  ×  1014 to 1018 cm−3. The excitation-dependent decrease in measurement sensitivity in the power-law relations of the transients was observed at excess carrier densities above 1016 cm−3 due to the line mismatches and decrease in the internal microwave field in the illuminated sample. The calibration procedure of MCD data on excess carrier density was applied for the correction of the MCD transients and resulted in nearly identical MCD kinetics in the reflection and transmission. In a 35 μm-thick n-type 4H-SiC epilayer, the tendencies of the gradual decrease of the initial decay time with an excitation increase and the excitation-enhanced carrier recombination rate in MCD tails were analyzed numerically. These tendencies were attributed to the excitation dependent surface recombination rate and the enhanced trap-related bulk recombination, correspondingly.


Source:IOPscience
For more information, please visit our website: www.semiconductorwafers.net,
send us email at sales@powerwaywafer.com and powerwaymaterial@gmail.com

Jul 17, 2019

Femtosecond-pulsed laser micromachining of a 4H–SiC wafer for MEMS pressure sensor diaphragms and via holes

The challenging issues in conventional microfabrication of SiC pressure sensor diaphragms from bulk wafers are low etch rates, thicker (>40 µm) diaphragms, low spatial resolutions, rough surfaces and substantial contamination. In via hole drilling of SiC, the critical concern is the low drilling speed (nm per minute). In this work, femtosecond (fs)-pulsed laser ablation was conducted to overcome some of these deficiencies. Circular diaphragms (0.5 to 1 mm) by trepanning mode and via holes (30–50 µm) by percussion drilling mode were micromachined in 250 µm thick 4H–SiC single crystals using an 800 nm wavelength, 120 fs, 1 mJ Ti:sapphire laser. Pulse energy, number of pulses and scan rate were varied to obtain a high etch rate and high quality features. Results showed that the etch rates are 2–10 µm per pulse, diaphragm thicknesses are 20–200 µm, surface roughness is 1–2 µm Ra and via hole drilling speeds are up to 25 µm per second. The etch depth control was well within ± 1%. High aspect ratio features with excellent spatial resolutions were obtained due to the absence of thermal damages such as a recast layer and contamination. Thus, femtosecond-pulsed laser ablation by virtue of its unique characteristics such as multiphoton ionization and the absence of lattice heating offers high speed, precision and accuracy in micromachining 4H–SiC wafers.



Source:IOPscience
For more information, please visit our website: www.semiconductorwafers.net,
send us email at sales@powerwaywafer.com and powerwaymaterial@gmail.com

Jul 9, 2019

Decay curve analyses in carrier lifetime measurements of p- and n-type 4H-SiC epilayers

The impacts of the ambipolar diffusion constant and surface recombination in carrier lifetime measurements of p- and n-type 4H-SiC epilayers are investigated in detail by comparing a numerical simulation based on a diffusion equation and the measurement of microwave photoconductance decay (µ-PCD) curves measured from 4H-SiC epilayers. The simulation reveals that the shapes of decay curves of excess carrier concentration in epilayers, which defines the effective carrier lifetime, are different between p- and n-type 4H-SiC under a low-level injection condition, even when the bulk lifetime and the surface recombination velocity are fixed to the same values for p- and n-type epilayers. In experiments, the shapes of the microwave photoconductance decay curves measured from p- and n-type 4H-SiC epilayers show a similar tendency to the simulation results under a low-level injection condition. This is attributed to the difference in the dependence of the ambipolar diffusion constant on the excess carrier concentration for p- and n-type 4H-SiC. The comparison of µ-PCD decay curves obtained from 50-µm-thick epilayers with different surface passivation indicates that the surface recombination velocity on the epilayer passivated with deposited SiO2 followed by NO annealing is about one order of magnitude lower than that of the epilayer passivated with the dry oxide.




Source:IOPscience
For more information, please visit our website: www.semiconductorwafers.net,
send us email at sales@powerwaywafer.com and powerwaymaterial@gmail.com

Jul 5, 2019

Development of a 150 mm 4H-SiC epitaxial reactor with high-speed wafer rotation

A new type of 150 mm vertical 4H-SiC epitaxial reactor with high-speed wafer rotation has been developed. Multiple resistance heaters ensure uniform radial temperature distribution throughout a 150-mm-diameter wafer. Enhancement of the growth rates is realized by high-speed wafer rotation under a relatively high system pressure, and growth rates of 40–50 µm/h are achieved on 4° off 4H-SiC substrates, maintaining a low defect density and a smooth surface without macrostep bunching. Excellent thickness and doping uniformities are simultaneously obtained for a 150-mm-diameter wafer at a high growth rate of 50 µm/h.


Source:IOPscience
For more information, please visit our website: www.semiconductorwafers.net,
send us email at sales@powerwaywafer.com and powerwaymaterial@gmail.com

Jun 20, 2019

Aluminum doping of 4H-SiC by irradiation of excimer laser in aluminum chloride solution

We have found that aluminum doping into 4H-SiC is performed by irradiating excimer laser light to 4H-SiC immersed in aluminum chloride solution. Aluminum is introduced in SiC at the concentration of over 1 × 1020 cm−3 near the surface while, chlorine hardly diffuses into 4H-SiC. After the laser irradiation in aluminum chloride solution, the resistance of the laser-irradiated region decreases with increasing laser fluence. Hall effect measurement shows that the laser irradiation produces a p-type layer and that its sheet carrier concentration is 2.14 × 1011 cm−2. In addition, we produce a pn junction by doping the surface of n-type 4H-SiC and by aluminum doping. The pn junction shows rectifying characteristics whose on/off ratio is about 7 decades and ideality factor is 1.15. This technique is one of the strong candidate local doping techniques for SiC.



Source:IOPscience
For more information, please visit our website: www.semiconductorwafers.net,
send us email at sales@powerwaywafer.com and powerwaymaterial@gmail.com

Jun 14, 2019

Effects of sacrificial oxidation on surface properties of n- and p-type 4H-SiC: implications for metal contact behaviors

A comparative investigation on the effects of sacrificial oxidation (SO) on the surface properties of n- and p-type 4H-SiC has been conducted by using x-ray photoelectron spectroscopy and deep level transient spectroscopy. For n-type 4H-SiC, the surface Fermi level is unpinned and shifts towards conduction band edge due to significant reduction of surface contaminants and removal of surface defects by SO. For p-type 4H-SiC, the surface contamination is also reduced with a shift of Fermi level towards valance band edge after SO. However, a high density of carbon interstitials related defects is likely to be generated close to the valance band during the oxidation. Pronounced Fermi level pinning may be still present with surface states density higher than 1.65 × 1012 cm−2 eV−1. The implications of SO on the electrical behaviors of metal contacts to n- and p-type 4H-SiC have been proposed.


Source:IOPscience
For more information, please visit our website: www.semiconductorwafers.net,
send us email at sales@powerwaywafer.com and powerwaymaterial@gmail.com

Jun 5, 2019

Scanning tunnelling microscopy imaging and spectroscopy of p-type degenerate 4H-SiC(0001)

In this work we present scanning tunnelling microscopy (STM) imaging and spectroscopy of a highly p-doped wide bandgap semiconducting 4H-SiC(0001) surface. Whereas n- and p-doped 6H-SiC or n-doped 4H-SiC surfaces can be relatively easily imaged with the STM, the p-doped 4H-SiC cannot be imaged due to the absence of any surface conductivity. This is very surprising given the presence of a p-doped, degenerate epitaxial layer. The behaviour can be explained by the formation of a Schottky barrier either between the tip and the surface or between the surface and the sample holder, depending on the polarity of the applied voltage. We found that prolonged and repeated exposures of the SiC surface to a Si atomic flux followed by thermal annealing are required before the surface conductivity is sufficient to allow STM images to be recorded. The result is the deposition of overlayers of Si, with structures similar to Si(111) 7 × 7, Si(113) 3 × 2, and Si(110) 16 × 2 rather than the expected stable SiC(0001) 3 × 3 reconstruction. We have further demonstrated the ability of scanning tunnelling spectroscopy to distinguish between the Si and the SiC phases based on the difference in their bandgaps.


Source:IOPscience
For more information, please visit our website: www.semiconductorwafers.net,
send us email at sales@powerwaywafer.com and powerwaymaterial@gmail.com

May 28, 2019

Nanoscale probing of the lateral homogeneity of donors concentration in nitridated SiO2/4H–SiC interfaces

In this paper, nanoscale resolution scanning capacitance microscopy (SCM) and local capacitance–voltage measurements were used to probe the interfacial donor concentration in SiO2/4H–SiC systems annealed in N2O. Such nitrogen-based annealings are commonly employed to passivate SiO2/SiC interface traps, and result both in the incorporation of N-related donors in SiC and in the increase of the mobility in the inversion layer in 4H–SiC MOS-devices. From our SCM measurements, a spatially inhomogeneous donor distribution was observed in the SiO2/4H–SiC system subjected to N2O annealing. Hence, the effect of a phosphorus implantation before the oxide deposition and N2O annealing was also evaluated. In this case, besides an increased average donor concentration, an improvement of the lateral homogeneity of the active doping was also detected. The possible implications of such a pre-implantation doping of the near-interface region on 4H–SiC MOS-devices are discussed.


Source:IOPscience
For more information, please visit our website: www.semiconductorwafers.net,
send us email at sales@powerwaywafer.com and powerwaymaterial@gmail.com

May 23, 2019

Efficient positron moderation with a commercial 4H-SiC epitaxial layer

We have studied the properties of a commercially available 4H-SiC epitaxial layer and evaluated its potential application as an efficient positron remoderator. A remoderation efficiency of more than 65% has been measured for incident positrons with 1 keV energy. We have determined the work function and the energy distribution of the emitted slow positrons, a property which is essential for practical applications. Comparison of the positron moderation properties of the epitaxial layer with results from a n-type 4H-SiC single crystal, indicate that the epitaxially grown layer is a superior secondary moderator than its substrate counterpart.


Source:IOPscience
For more information, please visit our website: www.semiconductorwafers.net,
send us email at sales@powerwaywafer.com and powerwaymaterial@gmail.com

May 14, 2019

Improved ohmic contact to the n-type 4H-SiC semiconductor using cobalt silicides

Multilayer structures of cobalt and silicon have been deposited as an ohmic contact on n-type 4H-SiC substrates in order to obtain lower contact resistance and higher thermal stability. The metal structures were prepared by using electron beam evaporation on top of the silicon face of the 4H-SiC substrates, and were annealed in an atmosphere of argon with 10% hydrogen. The metal film thickness was monitored during the film deposition, and the ratio of the cobalt and silicon was fixed at 0.5 for the formation of the silicon-rich silicide structure (CoSi2). The electrical property of the ohmic contact has been significantly improved by the reduction of the oxide content in the metal contact layer. A two-step annealing process was employed to reduce oxidation problems that may occur in the heat treatment at high temperatures. The specific contact resistance of the contact structure prepared by the two-step annealing process was measured to decrease by more than one order of magnitude compared to that prepared by one-step annealing. The best result has been obtained as 1.8 × 10−6 Ω cm2 for Co/Si/Co/Si/Co metal structures after two-step annealing, at 500 °C for 600 s and 800 °C for 120 s. In the field emission scanning electron microscopy, the interface of the contact structure and SiC substrate was observed to have smooth surface morphology with CoSi2 grains.


Source:IOPscience
For more information, please visit our website: www.semiconductorwafers.net,
send us email at sales@powerwaywafer.com and powerwaymaterial@gmail.com

May 9, 2019

Carrier transport properties in inversion layer of Si-face 4H–SiC MOSFET with nitrided oxide

We propose a method to evaluate the carrier transport properties in the inversion layer of 4H–SiC metal-oxide-semiconductor field-effect transistors (MOSFETs) experimentally. Our approach differs from conventional methods, which have adjusted the parameters in conventional mobility models. Intrinsic phonon-limited mobility (μ phonon) in the SiC MOSFET was observed by suppressing the severe impact of Coulomb scattering on the SiC MOS inversion layer by lowering the acceptor concentration (N A) of the p-type well region to the order of 1014 cm−3. In this study, we investigated the carrier transport properties in the inversion layer of Si-face 4H–SiC MOSFETs with nitrided oxide. It is revealed that the μ phonon of the SiC MOSFET is a quarter or less than the conventionally presumed values. Additionally, surface roughness scattering is found not to be the most dominant mobility-limiting factor even at high effective normal field (E eff) for the SiC MOSFET. These results demonstrate that conventional understanding of carrier scattering in the SiC MOS inversion layer should be modified, especially in the high E eff region.



Source:IOPscience
For more information, please visit our website: www.semiconductorwafers.net,
send us email at sales@powerwaywafer.com and powerwaymaterial@gmail.com

Apr 30, 2019

Characterization of tungsten–nickel simultaneous Ohmic contacts to p- and n-type 4H-SiC

Ohmic contacts to p- and n-type 4H-SiC using refractory alloyed W:Ni thin films were investigated. Transfer length measurement test structures to p-type 4H-SiC (NA = 3 × 1020 cm−3) revealed Ohmic contacts with specific contact resistances, ρc, of ~10−5 Ω cm2 after 0.5 h annealing in argon at temperatures of 1000 °C, 1100 °C, 1150 °C, and 1200 °C. Contacts fabricated on n-type 4H-SiC (ND = 2 × 1019 cm−3) by similar methods were shown to have similar specific contact resistance values after annealing, demonstrating simultaneous Ohmic contact formation for W:Ni alloys on 4H-SiC. The lowest ρc values were (7.3 ± 0.9) × 10−6 Ω cm2 for p-SiC and (6.8 ± 3.1) × 10−6 Ω cm2 for n-SiC after annealing at 1150 °C. X-ray diffraction shows a cubic tungsten–nickel–carbide phase in the Ohmic contacts after annealing as well as WC after higher temperatures. Auger electron spectroscopy depth profiles support the presence of metal carbide regions above a nickel and silicon-rich region near the interface. X-ray energy dispersive spectroscopy mapping showed tungsten-rich and nickel-rich regions after annealing at 1100 °C and above. W:Ni alloys show promise as simultaneous Ohmic contacts to p- and n-SiC, offering low and comparable ρc values along with the formation of WxNiyC.



Source:IOPscience
For more information, please visit our website: www.semiconductorwafers.net,
send us email at sales@powerwaywafer.com and powerwaymaterial@gmail.com

Apr 23, 2019

Features of the formation of non-vertical profiles on the surface of 4H-SiC by the reactive-ion etching

The features of the formation of non-vertical profiles on 4H-SiC by reactive-ion etching (RIE) using various masking coatings are studied. The formation of 4H-SiC mesa structures was carried out using automated airlock reactive-ion etching and plasma etching system "Caroline PE 15" with the ICP-source of plasma in a gas mixture of SF6, O2 and Ar. Using photoresist AZ4533 as a mask, mesa structures with a wall inclination angle of more than 130° were obtained at the etching rate of 4H-SiC was ~0.5 μm/min. The developed technology of dry etching can be further used in the preparation of avalanche photodiodes or power electronics devices.



Source:IOPscience
For more information, please visit our website: www.semiconductorwafers.net,
send us email at sales@powerwaywafer.com and powerwaymaterial@gmail.com

Apr 18, 2019

Defects in low-energy electron-irradiated n-type 4H-SiC

The bistable M-center, previously observed in high-energy proton-implanted 4H-SiC, was detected in low-energy electron-irradiated 4H-SiC using deep-level transient spectroscopy (DLTS). Irradiation increased the DLTS signals of the intrinsic defects Z1/2 and EH6/7 and introduced the frequently observed defects EH1 and EH3. After the M-center is annealed out at about 650 K without bias and at about 575 K with bias applied to the sample during the annealing process, a new bistable defect in the low temperature range of the DLTS spectrum, the EB-center, evolves. Since low-energy irradiation affects mainly the carbon atoms in SiC, the M-center and the newly discovered EB-center are most probably carbon-related intrinsic defects.



Source:IOPscience
For more information, please visit our website: www.semiconductorwafers.net,
send us email at sales@powerwaywafer.com and powerwaymaterial@gmail.com

Apr 9, 2019

Simulation of conventional bipolar logic technologies in 4H-SiC for harsh environment applications

Silicon carbide (SiC) is a wide bandgap semiconductor that is inherently capable of operation in unforgiving environments such as high temperatures and radiation. Currently, the control circuitry for SiC based power devices and sensors are silicon based, limiting the overall efficiency of the system in such environments. 4H-SiC integrated circuits, based on different conventional logic technologies, have been investigated in the past using different device structures, by various research groups. This paper presents a thorough investigation of conventional bipolar logic technologies in 4H-SiC simulated across a wide range of temperatures (300–773 K) and power supply voltages (7–17 V). Unlike previous studies, this paper evaluates different technologies using the same device structure in the simulation, to highlight the true merits of each logic technology. The stable performance of all the studied logic technologies in SiC validates the potential of 4H-SiC ICs in small scale logic applications.



Source:IOPscience
For more information, please visit our website: www.semiconductorwafers.net,
send us email at sales@powerwaywafer.com and powerwaymaterial@gmail.com